Welcome![Sign In][Sign Up]
Location:
Search - vhdl lms

Search list

[Other resourceFPGA_LMS

Description: VHDL写的LMS算法程序。利用本地正弦信号,根据LMS算法对输入信号进行跟踪。用以产生和输入信号同频同相的本地信号。-VHDL LMS algorithm written procedures. The use of local sinusoidal signal, according to the LMS algorithm for tracking the input signal. Used to produce and the input signal with frequency phase with the local signal.
Platform: | Size: 271104 | Author: 黄鹤 | Hits:

[VHDL-FPGA-VerilogFPGA_LMS

Description: VHDL写的LMS算法程序。利用本地正弦信号,根据LMS算法对输入信号进行跟踪。用以产生和输入信号同频同相的本地信号。-VHDL LMS algorithm written procedures. The use of local sinusoidal signal, according to the LMS algorithm for tracking the input signal. Used to produce and the input signal with frequency phase with the local signal.
Platform: | Size: 270336 | Author: 黄鹤 | Hits:

[Otherzishiyinglvbodebiyesheji

Description: 论文针对数字通信系统中,由于码间串扰(ISI)和信道加性噪声的干扰,导致信号在接收端产生误码,设计了基于LMS算法的自适应均衡器(滤波器),并通过硬件描述语言VHDL和现场可编程逻辑器件FPGA实现均衡器的硬件实现。是一篇标准的毕业论文,有需要的朋友可以拿来做参考-Thesis for digital communications systems, crosstalk due to inter-symbol (ISI) and additive noise channel interference, leading to signals generated in the receiver error, design algorithm based on LMS adaptive equalizer (filter), and through hardware description languages VHDL and Field Programmable Logic Device FPGA hardware equalizer realize realize. Is a standard thesis, there is a need to make friends can be used as reference
Platform: | Size: 2353152 | Author: YZ | Hits:

[VHDL-FPGA-Verilogfir6dlms

Description: lms的verilog代码,我找了好久在才找的的,好东西,大家一起学习-LMS of the Verilog code, I am looking for a long time before looking at the good things we can work together to learn
Platform: | Size: 1024 | Author: 李允 | Hits:

[VHDL-FPGA-Verilogante

Description: 智能天线自适应LMS算法,假设具有4个天线阵元。-Smart antenna adaptive LMS algorithm, the assumption that with four million antenna array.
Platform: | Size: 4096 | Author: 黄虎 | Hits:

[source in ebookLMS_FIR

Description: 一种LMS数字自适应滤波器的硬件实现方法,用VHDL设计文件。-LMS adaptive filter of a digital hardware implementation methods, VHDL design files.
Platform: | Size: 250880 | Author: | Hits:

[matlabequizer

Description: HART协议的均衡器设计 DCT LMS 设计 + 位同步设计,仿真证明了设计的有效性-HART protocol design DCT LMS equalizer design+ Bit synchronous design, simulation proves the validity of the design
Platform: | Size: 21504 | Author: 进正化 | Hits:

[VHDL-FPGA-Verilogadaptive_lms_equalizer_latest.tar

Description: In communication systems channel poses an important role. channels can convolve many different kind of distortions to our information. In perticular wireless channels multipath distortion is sevear. and more sevear is such distortion is random. To handle this, multipath affected channels require Equalizers at receaver end. such equalizer uses different learning Algorithms for identifying channels continuously. This project is VHDL implementation of LMS learning algorithm with pipelined architecture. so this implementation can work with higher data rates with less clock speed requirments and so with less power consumpiton It uses Fixed point arithmatic blocks for filtering so suitable for coustom asic.
Platform: | Size: 14336 | Author: Arun | Hits:

[VHDL-FPGA-VerilogAdaptiveLMSequalizer

Description: 通信中的用的LMS均衡算法VHDL实现,代码不长,很容易看懂-Communication with the LMS equalization algorithm to achieve VHDL code is not long, it is easy to understand
Platform: | Size: 3072 | Author: 王王 | Hits:

[VHDL-FPGA-Veriloglms

Description: 一个不错的关于lms算法的verilog代码,算然只有两级,但是对了解lms用HDL描述有很好的理解作用。希望对大家有用~-A good lms algorithm on the verilog code, development environment, I can not find, even if the vhdl it! We hope to be useful
Platform: | Size: 1024 | Author: onion | Hits:

[VHDL-FPGA-VerilogLMS_

Description: Implement LMS vhdl code.
Platform: | Size: 1024 | Author: Hem | Hits:

[VHDL-FPGA-VerilogLMS_filter

Description: verilog HDL 写的LMS滤波器-LMS filter using verilog HDL language
Platform: | Size: 350208 | Author: rayax | Hits:

[Embeded-SCM DevelopLMS

Description: 布斯算法 LMS算法 布斯算法 LMS算法 布斯算法 LMS算法-Booth algorithm LMS algorithm LMS operator operator Fabu Si Fa Busi algorithm LMS algorithm LMS algorithm Operator Fa Busi
Platform: | Size: 46080 | Author: 龙崎 | Hits:

[VHDL-FPGA-Verilogfir_lms

Description: 基于FIR滤波器的自适用滤波器的实现 vhDL语言-FIR LMS
Platform: | Size: 1024 | Author: hejianhua | Hits:

[VHDL-FPGA-Verilogfir6dlms

Description: lms算法,自适应滤波器中使用fir滤波器对信号的码间干扰进行均衡-lms
Platform: | Size: 1024 | Author: lvchangbo | Hits:

[VHDL-FPGA-VerilogERROR_COUNTING_BLOCK

Description: vhdl code for error counting blk in lms algorithm
Platform: | Size: 5120 | Author: lekshmi | Hits:

[VHDL-FPGA-Verilogtrunk

Description: code for adaptive lms equilizer
Platform: | Size: 29696 | Author: subha | Hits:

[VHDL-FPGA-VerilogLMS-vhdl-coad-

Description: 基于quartus的LMS 自适应滤波器代码,适合初学者 -The LMS adaptive filter based on quartus code, suitable for beginners
Platform: | Size: 15360 | Author: jialiangquan | Hits:

[OtherQuartus-VHDL-lms

Description: 使用VHDL语言在quartusII中实现自适应滤波算法-The filtering algorithm
Platform: | Size: 17408 | Author: leon | Hits:

[Othervhdl-lms

Description: The program using the MATLAB simulation and VHDL implementation of LMS adaptive filter, filter the 50Hz sinusoidal frequency noise
Platform: | Size: 18432 | Author: li | Hits:
« 12 »

CodeBus www.codebus.net